Comparison of EDA software

Comparison of EDA software

Comparison of Electronic Design Automation (EDA) software

Contents

Free and Open Source Software (FOSS)

Name Architecture License Autorouter Comment
Alliance POSIX GPL Alliance is a complete set of free CAD tools and portable libraries for VLSI design.
CBOLD Linux, w32, Mac GPL Schematicless board-level design entry using C++.
ChipVault GPL Terminal based vi wrapper for HDL
Covered Posix GPL Verilog code coverage analyzer
Electric *BSD, Java GPL Yes VLSI circuit design tool with connectivity at all levels. Can also be used for schematic entry and PCB design.
FreePCB w32 GPL Yes A printed circuit board design program for Microsoft Windows. FreePCB allows for up to 16 copper layers, both metric and English units, and export of designs in Gerber format. Boards can be partially or fully autorouted with the FreeRouting autorouter by using the FpcROUTE Specctra DSN file translator.
Fritzing w32, Mac, Linux GPL Yes Integrated tool for breadboard, schematic, and PCB design. Targeted at non-engineers (designers, artists, researchers, hobbyists) and users of microcontroller platforms such as Arduino.
gEDA *BSD, Mac, Linux GPL Yes Suite of applications for schematic capture, PCB layout editing (with autorouting capability), Gerber viewing, analog circuit simulation, Verilog design, and GTK-based waveform viewing.
GHDL Linux, w32 GPL VHDL simulator
Herb POSIX GLib GPL Herb is a complete set of CAD programs and libraries for the specification, design and validation of VLSI circuits.
Icarus Verilog *BSD, Linux, Mac GPL Verilog simulator
Kicad Linux, w32, Mac GPLv2 Yes Unlike other free software alternatives, Kicad provides for all design stages through the same interface: Schematic capture, PCB layout, Gerber generation/visualization, and library editing are all standard features. It also has a "3D view" feature for PCBs.

It is available for all three major operating systems, and features a large selection of component libraries. Migration tools (for transferring files from other EDA packages) are also provided. The file format is plain text, and is well documented, which is a useful feature for content management systems.

KTechLab Linux GPL n/a KTechLab is a schematic capture and simulator. It is speciufically geared toward mixed signal simulation of analg components and small digital processors.
KLayout Linux, w32, Mac GPL - KLayout is a GDSII and OASIS file viewer and editor (if started in edit mode). Optimized for handling large data volumes. Editor mode functions include booleans, sizing, copy & paste, clip, undo/redo. Overlay capabilities for more than one layout. Ruby scripting interface (layout generation, editing, layout database access).
LayoutEditor Linux, w32, Mac GPL (Basic Version) / Commercial - A IC/MEMS layout editor. Features: all basic design functions, all angle elements, font generator, macros, boolean operations, design rule checker, netlists, LVS, full supported formats: Calma GDSII, OASIS (Open Artwork System Interchange Standard), DXF, CIF (Caltech Intermediate Form), imported formats: gerber, lasi, alliance
Magic Linux BSD license no a popular very-large-scale integration layout tool
MyHDL Linux, w32, Mac LGPL An open source Python package for capturing and simulating hardware designs. Includes utilities for conversion to VHDL and Verilog.
Netlistviewer w32 GPL no Netlistviewer is a software which reads SPICE circuit description (*.cir file) and automatically generates schematics in graphical form.
Open Schematic Capture Java (programming language) GPL no Open Schematic Capture is a schematic capture and net list tool intended for analog or mixed analog/digital IC design.
Oregano GPL no schematic capture + spice simulation
OwlVision GDSII Viewer GPL GDSII IC layout viewer
ParC Posix GPL C++ extensions for Electronic system level and parallel processing. ParC is a front-end to GNU C++ that adds the HDL constructs for multithreading, with a view to moving the support downstrean into the C++ compiler at a later date. ParC should replace SystemC and is a functional superset of the Verilogs and VHDL.
QSCAD TCL/TK, Linux, w32 Artistic License Schematic capture + PCB design.
Quite Universal Circuit Simulator Linux, Solaris, Mac, NetBSD, FreeBSD, w32 GPL Schematic capture + Verilog + VHDL + simulation
XCircuit Unix GPL Used to produce netlists and publish high-quality drawings.
V2000 Posix GPL A Verilog/Verilog-AMS parser and elaborator for use as front-end to other tools.
Verilator Posix GPL Verilator is the fastest free Verilog HDL simulator. It compiles synthesizable Verilog into cycle accurate C++ or SystemC code following 2-state synthesis (zero delay) semantics. Benchmarks reported on its website suggest it is several times faster than commercial event driven simulators such as ModelSim, NC-Verilog and VCS, while not quite as fast as commercial cycle accurate modeling tools such as Carbon ModelStudio and ARC VTOC.

Proprietary software

Application Vendor Simulation Engine Licence Platform Components Netlist Format Vector Output
Windows POSIX
AutoTRAX EDA and DEX Autotrax Software Spice 3f5 Proprietary Yes No Schematic capture, Simulation Probe, PCB editor and Active3D RS-274X Gerber format
CircuitLogix Logic Design Inc Xspice variant Proprietary Yes No
Crocodile Clips Crocodile Clips Ltd Yes No
PCB123 Sunstone Circuits Proprietary Yes Create new PCB designs quickly, then edit layout and schematics.
PCD Wizard Yes PCB editor
TARGET 3001! Ing.-Buero FRIEDRICH PSpice compatible Proprietary, free versions available Yes No Schematic entry, simulation, PCB design, autorouter, 3D live view, isolation milling, front panel design Various Extended Gerber format, PostScript, STEP-3D, HPGL, G-code
PCB Artist 4pcb.com - free Yes No Schematic capture, PCB design Gerber (after 1st order), PDF

Comparison of EDA packages

Application and developer Latest version/release + date Schematic? Simulation? PCB editing? Runs on POSIX style systems? Runs on Windows? Other platforms? Open
source?
User Interface Language(s) Stable?[clarification needed] Imports Exports
Advanced Design System by Agilent EEsof EDA 2011.05
2011-May
Yes Yes Yes No Yes LINUX,SUN SOLARIS No en Yes HSPICE, SPICE, Spectre netlists; Gerber/drill , ODB++, artwork; more HSPICE, SPICE, Spectre netlists; Gerber/drill , ODB++, artwork; more
Active-HDL by Aldec 2011, October
9.1
Yes Yes No No Yes No No en Yes EDIF, Viewlogic, more PDF, HTML, Verilog, VHDL, EDIF, Zuken, more
Altium Designer (former Protel) and PCAD by Altium 2011, Feb 25
Release 10
Yes Yes Yes No Yes No No en Yes OrCAD, Allegro, PADs, P-CAD, Gerber, STEP, more PDF, Gerber/drill, ODB++, DXF, STEP, OrCAD, more
AutoTRAX EDA and DEX by Kovac Software 2010, May 18
1.0.50
Yes Yes Yes No Yes No No Various Yes Eagle, P-CAD, PADS, OrCAD, Gerber, DXF Gerber/drill, DXF, P-CAD, PADS, OrCAD
CADSTAR, Board Designer, and Visula by Zuken 2010, August 17
12.1
Yes Yes, Spice Yes No Yes No No en Yes PADS, OrCAD, P-CAD, Protel, DXF, IDF PDF, Gerber/drill, ODB++, DXF, IDF more
CR-5000 by Zuken 2011, May 17
13
Yes Yes, SI & PI Yes Yes Yes UNIX, LINUX No en, jp Yes EDIF, DXF, IGES, IDF, BSDL, STEP, ACIS, Gerber/drill, more PDF, Gerber/drill, ODB++ (must request[1]), DXF, STEP, IPC D-356, IPC-2581, EPS, ACIS
DipTrace by Novarm 2011, Oct 17
2.2.0.3
Yes No Yes Yes Yes Mac, Wine No 18 languages Yes Eagle, P-CAD, PADS, OrCAD, Gerber, DXF Gerber/drill, DXF, P-CAD, PADS, OrCAD
DesignSpark PCB from RS Components 2011, April 26
2.0
Yes No Yes No Yes No No Various Yes Eagle, DXF, EDIF Gerber/drill, IDF, PDF, more
Eagle CadSoft 2010, December
5.11
Yes No Yes Yes Yes Yes No de, en Yes Eagle, Cadence, Gerber/drill, Eagle, Netlist, PDF, Images, Protel, HyperLynx, EPS
EDWinXP from Visionics Unknown Unknown Unknown Unknown Unknown Yes Unknown Unknown Unknown Unknown ODB++ ODB++
Easy-PC by Number One Systems 14 Yes No Yes Unknown Yes Unknown No en Unknown Unknown DXF, BOM, Gerber/drill, IDF
Fritzing 2011-02-18
0.5.2b
Yes, + breadboard No Yes Yes Yes Mac Yes en, de, nl, es, it, fr, pt, ru, zh, jp, ... Yes gEDA symbols, KiCAD symbols, SVG Gerber, DIY etching, BOM, SVG, PDF, EPS
gEDA 2010-02-14
1.6.1
Yes No Yes Yes Yes Mac Yes en Yes ? Gerber/drill
KiCad by the KiCad developers 2011-04-29-BZR2986 Yes No Yes Yes Yes Yes Yes de, en, es, fr, pt, ru Yes TinyCAD net lists, OrCAD EDIF PDF, Gerber/drill, netlist
Various (Board Station, PADS, Expedition, DxDesigner, HyperLynx, ECAD Collaborator...), by Mentor Graphics N/A Yes Yes Yes Yes Yes No No en yes ODB++ GGerber/drill, BoM, IDF, ODB++
Micro-Cap by Spectrum Software 2010, Jun 26
10
Yes Yes No No Yes No No en Yes Unknown SPICE text file, netlist, BOM
Osmond
by J C Chavez
1.1.8
March 6, 2011
No No Yes Yes No Mac No en Yes Unknown Gerber, netlist
Various Allegro and OrCAD products by Cadence Design Systems N/A Yes Yes Yes Yes Yes Unknown No en Yes Unknown PDF, OrCAD netlist, ODB++
Proteus by Labcenter Electronics 7.7 Yes Yes Yes No Yes No No en Yes Unknown netlist, BoM, Gerber/drill
Pulsonix by Pulsonix 7.0 Yes Yes Yes Unknown Yes Unknown No en Yes Unknown PDF, Gerber/drill, ODB++, DXF, more
PCB Investigator by easyLogix 2010-09-09
3.31
No No Yes No Yes No No en Yes ODB++, Gerber/drill, Sieb&Meyer ODB++, DXF, Catia-Script, X-File, BOM
SLASH by Dolphin Integration 2011, February Yes Yes No Yes Yes No No en Yes EDIF, ASCII, Several netlist formats EDIF, Several netlist formats, CSDF, SPI3, more
Symica DE by Symica v1.4
Oct 2011
Yes Yes No No Yes No No en Yes HSPICE, SPICE, Spectre netlists, SKILL specs, more SKILL, SPICE netlist
TinyCAD by the developers of TinyCAD 2.70
2010-Aug.
Yes No No No Yes No Yes en Yes Unknown Several net list formats, PNG, EMF
NI Ultiboard and Multisim by National Instruments 11 Yes Yes Yes No Yes No No en Yes MS*, MP*, EWB, Spice, OrCAD, UltiCap, Protel, Gerber, DXF, Ultiboard 4&5,Calay BOM, Gerber/drill, IGES (3D), DXF (2D & 3D), SVG
Viewlogic by Synopsys Unknown No No Yes Yes Yes Unknown No en Unknown Unknown Unknown


Note: ODB++ support is drawn partly from lists by Artwork Conversion Software[1] and Mentor Graphics[2]

See also


Notes

  1. ^ a b "PCB tools supporting ODB++". Artwork.com. Artwork Conversion Software Inc.. http://www.artwork.com/odb++/pcb_tools_supporting_odb.htm. Retrieved 25 September 2011. 
  2. ^ "ODB++ Data Exchange". Mentor.com. Mentor Graphics. http://www.mentor.com/products/pcb-system-design/odb-data-exchange. Retrieved 25 September 2011. 


References

Please check the latest information on the various web sites


External links


Wikimedia Foundation. 2010.

Игры ⚽ Поможем сделать НИР

Look at other dictionaries:

  • Comparison of Free EDA software — Also worth mentioning is SPICE, a general purpose analog circuit simulator.Free or Open EDA enables end users with programming skills to add features and fix bugs. And works as a safeguard to ensure designs are readable in the future …   Wikipedia

  • Oregano (software) — Oregano running on GNU/Linux. Oregano is a graphical software application for schematic capture and simulation of electrical circuits. The actual simulation is performed by the ngspice or Gnucap engines. It is similar to gEDA and KTechlab. It… …   Wikipedia

  • Comparison of e-book readers — The larger Kindle DX with a Kindle 2 for size comparison An e book reader is a portable electronic device that is designed primarily for the purpose of reading digital books and periodicals. An e book reader is similar in form to a tablet… …   Wikipedia

  • EDA database — An EDA database is a database specialized for the purpose of electronic design automation. These application specific databases are required because general purpose databases have historically not provided enough performance for EDA… …   Wikipedia

  • Comparison of object database management systems — Features Information about what fundamental ODBMS features are implemented natively. Name Current Stable Version Language(s) SQL support Datatypes License Description Caché 2010.1.4 ObjectScript (dynamic language), Basic. Java/.NET object mapping …   Wikipedia

  • List of free and open source software packages — This article is about software free to be modified and distributed. For examples of software free in the monetary sense, see List of freeware. This is a list of free and open source software packages: computer software licensed under free… …   Wikipedia

  • List of software engineering topics — This list complements the software engineering article, giving more details and examples. For an alphabetical listing of topics, please see List of software engineering topics (alphabetical).Influence on societySoftware engineers affect society… …   Wikipedia

  • DesignSpark PCB — Initial release July 5, 2010 …   Wikipedia

  • MyHDL — MyHDL[1] is a Python based hardware description language (HDL). Features of MyHDL include: The ability to generate VHDL and Verilog code from a MyHDL design[2]. The ability to generate a testbench (Conversion of test benches[3]) with test vectors …   Wikipedia

  • Printed circuit board — Part of a 1983 Sinclair ZX Spectrum computer board; a populated PCB, showing the conductive traces, vias (the through hole paths to the other surface), and some mounted electrical components A printed circuit board, or PCB, is used to… …   Wikipedia

Share the article and excerpts

Direct link
Do a right-click on the link above
and select “Copy Link”