Next-generation lithography

Next-generation lithography

Next-generation lithography (NGL) is a term used in integrated circuit manufacturing to describe the lithography technologies slated to replace photolithography. As of 2009 the most advanced form of photolithography is immersion lithography, in which water is used as an immersion medium for the final lens. It is being applied to the 45 nm and 32 nm nodes. Several companies, including IBM, Intel and TSMC, have prepared for the continued use of current lithography, using double patterning, for the 22 nm and 16 nm nodes, and extending double patterning beyond 11 nm.

Candidates for next-generation lithography include: extreme ultraviolet lithography (EUV-lithography), X-ray lithography, electron beam lithography, focused ion beam lithography, and nanoimprint lithography. Electron beam lithography was most popular during the 1970s, but was replaced in popularity by X-ray lithography during the 1980s and early 1990s, and then by EUV lithography from the mid-1990s to the mid-2000s. Focused ion beam lithography has carved a niche for itself in the area of defect repair. Nanoimprint's popularity is rising, and is positioned to succeed EUV as the most popular choice for next-generation lithography, due to its inherent simplicity and low cost of operation as well as its success in the LED, hard-disk and microfluidics sectors.

The rise and fall in popularity of each NGL candidate largely hinged on its throughput capability and its cost of operation and implementation. Electron beam and nanoimprint lithography are limited mainly by the throughput, while EUV and X-ray lithography are limited by implementation and operation costs. The projection of charged particles (ions or electrons) through stencil masks was also popularly considered in the early 2000s but eventually fell victim to both low throughput and implementation difficulties.

Ironically, each NGL candidate faced more competition from the extension of photolithography than from any other NGL candidate, as more and more methods of improving photolithography continued to be developed, including optical proximity correction, off-axis illumination, phase-shift masks, liquid immersion lithography, and double patterning. Even within the area of photolithography, there is a list of "next-generation" techniques, including two-photon lithography, 157 nm wavelength, and high-index immersion.

Contents

NGL issues

The increasing difficulty and cost of extending current lithography techniques has been a key factor separating leading and lagging chipmakers.
The difficulty of extending optical lithography has been the main selling point of NGL. However, a leading chipmaker would benefit significantly less than a lagging chipmaker, due to the huge additional investments in extending optical lithography up to its current state. In this hypothetical case, introducing NGL would allow some chipmakers to skip several lithography generations.

Fundamental issues

Regardless of whether NGL or photolithography is used, etching of polymer (resist) is the last step. Ultimately the quality (roughness) as well as resolution of this polymer etching limits the inherent resolution of the lithography technique. Next generation lithography also generally makes use of ionizing radiation, leading to secondary electrons which can limit resolution to effectively > 20 nm.[1]

Market issues

The above-mentioned competition between NGL and the recurring extension of photolithography, where the latter consistently wins, may be more a strategic than a technical matter. If a highly scalable NGL technology were to become readily available, late adopters of leading-edge technology would immediately have the opportunity to leap-frog the current use of advanced but costly photolithography techniques, at the expense of the early adopters of leading-edge technology, who have been the key investors in NGL. While this would level the playing field, it is disruptive enough to the industry landscape that the leading semiconductor companies would probably not want to see it happen.

The following example would make this clearer. Suppose company A manufactures down to 130 nm, while company B manufactures down to 22 nm, by extending its photolithography capability through years of successive resolution enhancements, such as wavelength change (i.e., from 248 nm to 193 nm), more and more aggressive optical proximity correction, more and more costly phase-shift masks, converting to immersion lithography and finally, implementing double patterning. If an NGL were deployed for the 15 nm node, both companies would benefit, but company A currently manufacturing at the 130 nm node would benefit much more because it would immediately be able to use the NGL for manufacturing at all design rules from 130 nm down to 15 nm (skipping all the said resolution enhancements), while company B would only benefit starting at the 15 nm node, having already spent much on extending photolithography from its 130 nm process down to 22 nm. The gap between Company B, whose customers expect it to advance the leading edge, and Company A, whose customers don't expect an equally aggressive roadmap, will continue to widen as NGL is delayed and photolithography is extended at greater and greater cost, making the deployment of NGL less and less attractive strategically for Company B. With NGL deployment, customers will also be able to demand lower prices for products made at advanced generations.

This becomes more clear when considering that each resolution enhancement technique applied to photolithography generally extends the capability by only one or two generations. For this reason, the observation that "optical lithography will live forever"[2] will likely hold, as the early adopters of leading-edge technology will never benefit from highly scalable lithography technologies in a competitive environment.

Summary Table

technique single exposure resolution max. mask defect height max. mask defect size throughput issues
193 nm 1.35 NA (current) 40 nm 34 nm 80 nm 130 WPH water immersion
193 nm 1.7 NA 30 nm 34 nm 60 nm TBD high-index materials needed (possibly prohibitive)
157 nm 1.7 NA 25 nm 24 nm 50 nm development stopped lack of materials; CaF2 required to replace fused silica (prohibitive)[3]
13.5 nm 0.25 NA (EUVL) ~ 30 nm (secondary electrons)[4][5] 0.4 nm (prohibitive)[6] 40 nm 4 WPH (prohibitive)[7] ionization; shot noise; throughput; mask defects
X-ray proximity printing ~ 30 nm (secondary electrons) > 100 nm depends on proximity gap; matches mask (possibly prohibitive) comparable to optical mask membrane (prohibitive);[8] source (possibly prohibitive)
Nanoimprint N/A (matches template) residual layer thickness 0 nm (prohibitive) >1 WPH bubble defects (possibly prohibitive);[9][10] master template lithography (prohibitive if electron-beam); master template inspection
Electron beam ~ 30 nm (secondary electrons) N/A N/A case-dependent but prohibitively slow charging; shot noise; interactions between parallel electrons
Charged particle projection ~ 30 nm (secondary electrons) case-dependent for scattering membrane; N/A for stencil case-dependent for scattering membrane; N/A for stencil trade-off with exposure current, i.e., resolution (prohibitive)[11] charging; contamination of stencil openings; double stencil exposure required for islands; mask membrane (prohibitive)

While only high-index immersion (which strictly is more a proposed extension of photolithography than an NGL) does not immediately face prohibitive issues, future developments may uncover severe enough problems to prohibit their use. The complexities of next-generation lithography development have always spurred the pursuit of ways of extending the use of incumbent lithographic materials, light sources, and tools.

References

  1. ^ K. W. Lee et al., J. Kor. Phys. Soc. 55, 1720 (2009).
  2. ^ T. A. Brunner, J. Vac. Sci. Tech. B, vol. 21, pp. 2632-2637 (2003).
  3. ^ EETimes: 157 nm dropped from Intel's roadmap
  4. ^ R. Feder et al., J. Vac. Sci. Tech. 12, 1332 (1975).
  5. ^ D. J. D. Carter et al., J. Vac. Sci. & Tech. B 15, pp. 2509-2513 (1997).
  6. ^ 2008 EUV Lithography Workshop coverage at Semiconductor International
  7. ^ IMEC report on EUV Performance (Semiconductor International, 23 October 2008)
  8. ^ Molecular Imprints: Layer-to-layer alignment for SFIL
  9. ^ H. Hiroshima and M. Komuro, Jpn. J. Appl. Phys. 46, pp. 6391-6394 (2007).
  10. ^ X. Liang et al., Nanotechnology 18, 025303 (2007).
  11. ^ Semiconductor International: Space-charge beam blur tradeoff between throughput and resolution

See also


Wikimedia Foundation. 2010.

Игры ⚽ Поможем написать реферат

Look at other dictionaries:

  • Next Generation — or Next Generation may refer to: Contents 1 Publications and literature 2 Technology 3 Television …   Wikipedia

  • Next Generation — steht für: Cryptography API: Next Generation (CNG), Microsofts Kryptographieplattform ab Windows Vista, mit deren Hilfe Kryptographieanwendungen in hochsicherheitsrelevanten Umgebungen realisiert werden können Internet Protocol Next Generation… …   Deutsch Wikipedia

  • Extreme ultraviolet lithography — (also known as EUV or EUVL ) is a next generation lithography technology using the 13.5 nm EUV wavelength. EUVL opticsEUVL is a significant departure from the deep ultraviolet lithography used today. All matter absorbs EUV radiation. Hence, EUV… …   Wikipedia

  • Computational lithography — (also known as computational scaling) is the set of mathematical and algorithmic approaches designed to improve the resolution attainable through photolithography. Computational lithography has come to the forefront of photolithography in 2008 as …   Wikipedia

  • X-ray lithography — is a next generation lithography that has been developed for the semiconductor industryref|vlad. Batches of microprocessors have already been produced. The short wavelengths of 0.8 nm X rays overcome diffraction limits in the resolution of… …   Wikipedia

  • Multiple patterning — is a class of technologies developed for photolithography to enhance the feature density. The simplest case of multiple patterning is double patterning, where a conventional lithography process is enhanced to produce double the expected number of …   Wikipedia

  • Nanolithography — Part of a series of articles on Nanoelectronics Single molecule electronics …   Wikipedia

  • Lithographie a faisceau d'electrons — Lithographie à faisceau d électrons L utilisation d un faisceau d électrons pour tracer des motifs sur une surface est connue sous le nom de lithographie par faisceau d électrons. On parle également de lithographie électronique. Par rapport à la… …   Wikipédia en Français

  • Lithographie À Faisceau D'électrons — L utilisation d un faisceau d électrons pour tracer des motifs sur une surface est connue sous le nom de lithographie par faisceau d électrons. On parle également de lithographie électronique. Par rapport à la photolithographie, l avantage de… …   Wikipédia en Français

  • Lithographie à faisceau d'électrons — L utilisation d un faisceau d électrons pour tracer des motifs sur une surface est connue sous le nom de lithographie par faisceau d électrons. On parle également de lithographie électronique. Par rapport à la photolithographie, l avantage de… …   Wikipédia en Français

Share the article and excerpts

Direct link
Do a right-click on the link above
and select “Copy Link”