Extreme ultraviolet lithography

Extreme ultraviolet lithography

Extreme ultraviolet lithography (also known as "EUV" or "EUVL") is a next-generation lithography technology using the 13.5 nm EUV wavelength.

EUVL optics

EUVL is a significant departure from the deep ultraviolet lithography used today. All matter absorbs EUV radiation. Hence, EUV lithography needs to take place in a vacuum. All the optical elements, including the photomask, must make use of defect-free Mo/Si multilayers which act to reflect light by means of interlayer interference; any one of these mirrors will absorb around 30% of the incident light. This limitation can be avoided in maskless interference lithography systems. However, the latter tools are restricted to producing periodic patterns only.The pre-production EUVL systems built to date contain at least two condenser multilayer mirrors, six projection multilayer mirrors, and a multilayer object (mask) [ F. T. Chen, Proc. SPIE 5037, pp. 347-357 (2003).] . Since the optics already absorbs 96% of the available EUV light, the ideal EUV source will need to be sufficiently bright. EUV source development has focused on plasmas generated by laser or discharge pulses. The mirror responsible for collecting the light is directly exposed to the plasma and is therefore vulnerable to damage from the high-energy ions [ H. Komori "et al.", Proc. SPIE 5374, pp. 839-846 (2004).] [ B. A. M. Hansson "et al.", Proc. SPIE 4688, pp. 102-109 (2002).] and other debris [ S. N. Srivastava "et al.", J. Appl. Phys. 102, 023301 (2007).] . This damage associated with the high-energy process of generating EUV radiation has precluded the successful implementation of practical EUV light sources for lithography.

The wafer throughput of an EUVL exposure tool is a critical metric for manufacturing capacity. Given that EUV is a technology requiring high vacuum, the throughput is limited (aside from the source power) by the transfer of wafers into and out of the tool chamber, to a few wafers per hour. [ A. Brunton "et al.", Proc. SPIE 5448, pp. 681-692 (2004).]

Another aspect of the pre-production EUVL tools is the off-axis illumination (at an angle of 6 degrees) [L. Peters, "Double Patterning Leads Race for 32 nm," Semiconductor International, October 18, 2007.] on a multilayer mask. The resulting asymmetry in the diffraction pattern causes shadowing effects which degrade the pattern fidelity. [ M. Sugawara "et al.", J. Vac. Sci. Tech. B 21, 2701 (2003).]

EUVL's shorter wavelength also increases flare, resulting in increased line width roughness [ M. Chandhok "et al.", J. Vac. Sci. Tech B 22, 2966 (2004).] .

EUV exposure of photoresist

When an EUV photon is absorbed, photoelectrons and secondary electrons are generated by ionization, much like what happens when X-rays or electron beams are absorbed by matter [ B. L . Henke "et al.", J. Appl. Phys. 48, pp. 1852-1866 (1977).] . These secondary electrons have energies of a few to tens of eV and travel tens of nanometers inside photoresist (see below) before initiating the desired chemical reaction. A contributing factor for this rather large distance is the fact that polymers have significant amounts of free volume [N. Shimizu and H. Sato, 1996 IEEE Annual Report - Conference on Electrical Insulation and Dielectric Phenomena, pp. 787-790 (1996)] . In a recent actual EUV print test, [ [http://dimesnet.dimes.tudelft.nl/mneabstracts/Session%202C/2C-5.pdf Y. Ekinci "et al.", Microelectronic Engineering, vol. 84, pp. 700-704 (2007). Conference draft.] ] it was found 30 nm spaces could not be resolved, even though the optical resolution and the photoresist composition were not the limiting factor.

In particular, for photoresists utilizing chemical amplification for higher throughput: [ T. Kozawa "et al.", J. Vac. Sci. Tech. B 15, pp. 2582-2586 (1997).] [ T. Kozawa "et al.", J. Vac. Sci. Tech. B 22, pp. 3489-3492 (2004).]

e- + acid generator -> anion -> dissociated anion products

This reaction occurs after the electron has essentially slowed to a halt, since it is easiest to capture at that point.

EUV photoresist images often require resist thicknesses roughly equal to the pitch [H. H. Solak "et al.", Microel. Eng. 67-68, pp. 56-62 (2003).] . This is not only due to EUV absorption causing less light to reach the bottom of the resist but also to forward scattering from the secondary electrons (similar to low-energy electron beam lithography).

Since the photon absorption depth exceeds the electron escape depth, as the released electrons eventually slow down,they dissipate their energy ultimately as heat.

An EUV dose of 1 mJ/cm2 generates an equivalent photoelectron dose of 10.9 μC/cm2. Current demonstration doses exceed 10 mJ/cm2, or equivalently, 109 μC/cm2 photoelectron dose.

The use of higher doses and/or reduced resist thicknesses to produce smaller features only results in increased irradiation of the layer underneath the photoresist. This adds another significant source of photoelectrons and secondary electrons which effectively reduce the image contrast. In addition, there is increased possibility of ionizing radiation damage to the layers below.

EUVL Defects

EUVL faces specific defect issues analogous to those being encountered by immersion lithography. Whereas the immersion-specific defects are due to unoptimized contact between the water and the photoresist, EUV-related defects are attributed to the inherently ionizing energy of EUV radiation. The first issue is positive charging, due to ejection of photoelectrons [N. Koch "et al.", Thin Solid Films 391, pp. 81-87 (2001).] freed from the top resist surface by the EUV radiation. This could lead to electrostatic discharge or particle contamination as well as the device damage mentioned above. A second issue is contamination deposition on the resist from ambient or outgassed hydrocarbons, which results from EUV- or electron-driven reactions [J. Hollenshead and L. Klebanoff, J. Vac. Sci. & Tech. B 24, pp. 118-130 (2006).] . A third issue is etching of the resist by oxygen [J. Hollenshead and L. Klebanoff, J. Vac. Sci. & Tech. B 24, pp. 64-82 (2006).] , argon or other ambient gases, which have been dissociated by the EUV radiation or the electrons generated by EUV. Ambient gases in the lithography chamber may be used for purging and contamination reduction. These gases are ionized by EUV radiation, leading to plasma generation in the vicinity of exposed surfaces, resulting in damage to the multilayer optics and inadvertent exposure of the sample [M. H. L. van der Velden "et al.", J. Appl. Phys. 100, 073303 (2006).] .Of course mask defects are also a known source of defects for EUVL. The mask defects comprise multilayer defects and defects buried under the multilayer as well as pattern defects. The buried defects are particularly insidious, and even 10 nm defects may be considered risky. [ [http://www.eecs.berkeley.edu/Pubs/TechRpts/2005/EECS-2005-28.html M. Lam, Ph.D. dissertation, U. of California, Berkeley, sec. 7.3 (2005).] ] The phase shift caused by an undetected 3 nm mask substrate flatness variation is sufficient to produce a printable defect. , any defect which effectively produces a phase shift scatters light outside the defect region. The amount of light that is scattered can be [http://en.wikipedia.org/wiki/
] . Furthermore, the edge of a phase defect will further reduce [http://henke.lbl.gov/optical_constants/multi2.html reflectivity] by more than 10% if its deviation from flatness exceeds 3 degrees, due to the deviation from the target angle of incidence of 84 degrees with respect to the surface. Even if the defect height is shallow, the edge still deforms the overlying multilayer, producing an extended region where the multilayer is sloped. The more abrupt the deformation, the narrower the defect edge extension, the greater the loss in reflectivity.

Unexpected Resolution Limits

Given that EUV is a significant reduction in wavelength compared to current lithography wavelengths, one would expect significantly better resolution. However, the resolution is ultimately determined by the interaction volume in the image recording medium, i.e., the photoresist. As noted above, the low energy electrons released by EUV could blur the original EUV image. In addition, there are statistical effects, especially for feature areas less than 1500 square nanometers.

hot noise

The required dose sensitivity of 5 mJ/cm2 implies only several thousand EUV photons or so accumulate in such a small area. With the natural Poisson distribution due to the random arrival times of the photons, there is an expected natural dose variation of at least a few percent 3 sigma, making the exposure process fundamentally uncontrollable for features less than about 40 nm. Increasing the dose will reduce the shot noise, but will also increase the flare dose and generate more free electrons. The free electrons will spread out before slowing to a stop. Since the free electron density is lower than the initial photon density, the shot noise is always effectively larger than expected from just considering the EUV dose.

Proximity effect (secondary electrons)

In a classic experiment by Feder et al. at IBM, [ R. Feder "et al.", J. Vac. Sci. Tech. 12, 1332 (1975).] an erbium layer on a PMMA resist layer was exposed to X-rays. The erbium layer absorbed the X-rays strongly, producing low energy secondary electrons. The X-rays which were not absorbed continued to penetrate into the PMMA, where they were only lightly absorbed. Upon removal of the erbium layer and subsequent PMMA development in solvent, the resist removal rate was found to be accelerated for the top 40 nm of the PMMA film, while it was much more gradual for the rest of the film. The accelerated rate was due to the secondary electron exposure, while the gradual rate was due to the X-ray absorption. This proved the secondary electron exposure range of 40 nm.

A more recent experiment was performed by Carter et al. at MIT and University of Wisconsin-Madison, [D. J. D. Carter "et al.", J. Vac. Sci. & Tech. B 15, pp. 2509-2513 (1997).] where the X-ray absorber generating the electrons was beneath the PMMA resist rather than on top of it. In this case, the accelerated dissolution of PMMA started approximately 50 nm above the substrate.The significance of this secondary electron range is the appearance of a "proximity effect" for distances on the order of 50 nm or less. This causes the exposure tolerance to be reduced dramatically as feature sizes decrease below this range. Even though features can still print below this range, the resolution is not repeatable. The difference in experimentally determined ranges above (40 nm vs. 50 nm) is already an indication of the lack of repeatability. The secondary electron exposure can also be thought of as a blur effect. The blur is generally not included in optical-only image simulations.

Photoelectron trajectories

Kotera et al. performed EUV photoelectron trajectory simulations, showing their range to be 30 nm. [ M. Kotera "et al.", Microprocesses and Nanotechnology, 2007 Digest of Papers, pp. 94-95 (2007).] [ M. Kotera "et al.", Jap. J. Appl. Phys. vol. 47, pp. 4944-4949 (2008).] The spread of the energy deposition by these electrons can account for the observed line edge roughness. The top layer exposure is effectively less because electrons emitted from the surface never come back.

Efficient photoresist heating

Ritucci et al., reported on the improved thermal ablation efficiency for EUV wavelengths compared to DUV wavelengths. [ A. Ritucci et al., "Damage and ablation of large band gap dielectrics induced by a 46.9 nm laser beam," [https://e-reports-ext.llnl.gov/pdf/331469.pdf March 9, 2006 report UCRL-JRNL-219656] (Lawrence Livermore National Laboratory).] Since EUV exceeds the bandgap of all materials, it is more easily absorbed than longer wavelengths, and the same dose of incident energy results in more heating; even ~100 mJ/cm2 would be hot enough to result in ablation. The resolution of chemically amplified photoresists is determined by thermally driven [http://www.almaden.ibm.com/st/chemistry/lithography/image_blur/origins/ acid diffusion] (spreading). It is worth noting that even at the ablation dose of 100 mJ/cm2, the shot noise for a 1 nm pixel is still significant (3σ/avg = 36%), which could severaly impact a critical dimension (CD) for which the pixel is at least 5%, i.e., 20 nm or less.

EUVL Demonstrations

In 1996, a collaboration between Sandia National Laboratories, University of California at Berkeley, and Lucent Technologies, produced NMOS transistors with gate lengths from 75 nm to 180 nm. The gate lengths were defined by EUV lithography [ K. B. Nguyen "et al.", J. Vac. Sci. Tech. B 14, 4188 (1996).] . The device saturation current at 130 nm gate length was ~0.2 mA/um. A 100 nm gate device showed subthreshold swing of 90 mV/decade and saturated transconductance of 250 mS/mm. A commercial NMOS at the same design rule patterned by then-state-of-the-art DUV lithography [ S. Yang "et al.", IEDM '98 Technical Digest, pp. 197-200 (1998).] showed 0.94 mA/um saturation current and 860 mS/mm saturated transconductance. The subthreshold swing in this case was less than 90 mV/decade.

In February 2008, a collaboration including IBM and AMD, based at the College of Nanoscale Science and Engineering (CNSE) in Albany, New York, used EUV lithography to pattern the first metal layer of a 45 nm node test chip. No specific details on device performance were given. [ [http://www.tgdaily.com/content/view/36221/135/ AMD uses EUV to pattern metal layer in 45 nm test chip] ] However, the lithographic performance details given still indicated much to be desired: [ [http://sst.pennnet.com/display_article/321337/5/ARTCL/none/none/1/SPIE:-AMD,-IBM-tip-first-) B. Haavind and J. Montgomery, "SPIE: AMD, IBM tip first "full-field" EUV chip," Solid State Technology, Feb. 27, 2008] ]

* CD uniformity: 6.6%
* Overlay: 17.9 nm x, 15.6 nm y, possibly correctable to 6.7 nm x, 5.9 nm y
* Power: 1 W at wafer (>200 W required for high volume), with a dose of 3.75 mJ/cm2
* Defects: 1/sq. cm.

Apparently, the CNSE EUV tool suffered from a well-known [http://www.photonics.com/content/news/2007/April/3/87072.aspx 16% flare] problem. [ O. R. Wood II "et al.", Proc. Spie 6517, 65170U (2007).] Flare effects may be difficult to separate from the secondary electron effects discussed earlier.

Also in July 2008, IMEC printed ~60 nm contacts using their installed EUV tool. [ [http://www.physorg.com/news135270280.html IMEC report on EUV printing of contacts] ] Doses of 12-18 mJ/cm2 were used.

In August 2008, SEMATECH demonstrated a 22 nm half-pitch using chemically-amplified photoresist. However, even at 15 mJ/cm2, the linewidth roughness was very significant, 5-6 nm, so that even the image pitch regularity was challenged. [ [http://www.semiconductor.net/article/CA6586626.html Semiconductor International: Sematech EUV Resist at 22 nm Half-Pitch] ]

Commercial viability

EUVL has been the subject of ongoing research and development by many groups. The predicted optical resolution capability has been demonstrated. However, optical resolution is not the limiting factor for EUV.

The difficulties of EUV stem mainly from the dramatically higher energy of the EUV photon (92 eV for EUV light vs. 6.4 eV for 193 nm light), which underlies the difficulty of damage-free generation and control of EUV light and confining the energy absorption within materials.

Due to its lack of readiness, EUVL has also recently been supplanted by double patterning as the lithography of choice for upcoming technology generations. Samsung [ C. Taylor, "Samsung intros 64-Gbit MLC NAND chip," Electronic News, October 23, 2007.] and IM Flash Technologies [ M. LaPedus, Intel, Micron roll 34-nm NAND device,EETimes, 5/29/2008.] have already started using spacer double patterning for their 3X nm NAND Flash. At the same time, Intel had also presented double patterning, specifically double exposure, as a viable alternative path to its 11 nm node.

Comparison of patterning options presented by Intel at SPIE 2006: [ Y. Borodovsky, "Marching to the Beat of Moore's Law," SPIE 2006 ]

References

Related links

* [http://www.semiconductor.net/article/CA6553758.html Intel: EUV not in time for 22 nm]
* [http://www.edn.com/article/CA6476705.html No EUV until 2015 or later]


Wikimedia Foundation. 2010.

Игры ⚽ Нужно решить контрольную?

Look at other dictionaries:

  • Extreme ultraviolet — Extreme Ultra Violet radiation (EUV) is generally considered to be the part of the electromagnetic spectrum spanning from 120 nm down to 10 nm. Its main uses are photoelectron spectroscopy, solar imaging, and lithography. EUV is naturally… …   Wikipedia

  • Ultraviolet — UV redirects here. For other uses, see UV (disambiguation). UVB redirects here. For the mysterious shortwave radio station in Russia, see UVB 76. For other uses, see Ultraviolet (disambiguation). False color image of the Sun s corona as seen in… …   Wikipedia

  • Lithography — Charles Marion Russell s The Custer Fight (1903). Note the range of tones, fading toward the edges …   Wikipedia

  • Computational lithography — (also known as computational scaling) is the set of mathematical and algorithmic approaches designed to improve the resolution attainable through photolithography. Computational lithography has come to the forefront of photolithography in 2008 as …   Wikipedia

  • X-ray lithography — is a next generation lithography that has been developed for the semiconductor industryref|vlad. Batches of microprocessors have already been produced. The short wavelengths of 0.8 nm X rays overcome diffraction limits in the resolution of… …   Wikipedia

  • Electron beam lithography — (often abbreviated as e beam lithography) is the practice of scanning a beam of electrons in a patterned fashion across a surface covered with a film (called the resist),cite book |last= McCord |first=M. A. |coauthors=M. J. Rooks |title=… …   Wikipedia

  • Next-generation lithography — (NGL) is a term used in integrated circuit manufacturing to describe the lithography technologies slated to replace photolithography. As of 2009 the most advanced form of photolithography is immersion lithography, in which water is used as an… …   Wikipedia

  • Nanoimprint lithography — is a method of fabricating nanometer scale patterns. It is a simple nanolithography process with low cost, high throughput and high resolution. It creates patterns by mechanical deformation of imprint resist and subsequent processes. The imprint… …   Wikipedia

  • Photolithography — For earlier uses of photolithography in printing, see Lithography. For the same process applied to metal, see Photochemical machining. Photolithography (or optical lithography ) is a process used in microfabrication to selectively remove parts of …   Wikipedia

  • EUV-Lithografie — (auch kurz EUVL) ist ein Fotolithografie Verfahren, das elektromagnetische Strahlung mit einer Wellenlänge von 13,5 nm (91,82 eV) nutzt, sogenannte extrem ultraviolette Strahlung (englisch extreme ultra violet, EUV). Dies soll es… …   Deutsch Wikipedia

Share the article and excerpts

Direct link
Do a right-click on the link above
and select “Copy Link”