Universal asynchronous receiver/transmitter

Universal asynchronous receiver/transmitter

A universal asynchronous receiver/transmitter (usually abbreviated UART and pronEng|ˈjuːɑrt) is a type of "asynchronous receiver/transmitter", a piece of computer hardware that translates data between parallel and serial forms. UARTs are commonly used in conjunction with other communication standards such as EIA RS-232.

A UART is usually an individual (or part of an) integrated circuit used for serial communications over a computer or peripheral device serial port. UARTs are now commonly included in microcontrollers. A dual UART or DUART combines two UARTs into a single chip. Many modern ICs now come with a UART that can also communicate synchronously; these devices are called USARTs.

Definition

Transmitting and receiving serial data

Serial transmission of digital information (bits) through a single wire or other medium is much more cost effective than parallel transmission through multiple wires. A UART is used to convert the transmitted information between its sequential and parallel form at each end of the link. Each UART contains a shift register which is the fundamental method of conversion between serial and parallel forms.

The UART usually does not directly generate or receive the external signals used between different items of equipment. Typically, separate interface devices are used to convert the logic level signals of the UART to and from the external signaling levels.

External signals may be of many different forms. Examples of standards for voltage signaling are RS-232, RS-422 and RS-485 from the EIA. Historically, the presence or absence of current (in current loops) was used in telegraph circuits. Some signaling schemes do not use electrical wires. Examples of such are optical fiber, IrDA (infrared), and (wireless) Bluetooth in its Serial Port Profile (SPP). Some signaling schemes use modulation of a carrier signal (with or without wires). Examples are modulation of audio signals with phone line modems, RF modulation with data radios, and the [http://www.yamar.com/DC-LIN.html DC-LIN] for power line communication.

Communication may be "full duplex" (both send and receive at the same time) or "half duplex" (devices take turns transmitting and receiving).

As of 2008, UARTs are commonly used with RS-232 for embedded systems communications. It is useful to communicate between microcontrollers and also with PCs. Many chips provide UART functionality in silicon, and low-cost chips exist to convert logic level signals (such as TTL voltages) to RS-232 level signals (for example, Maxim's MAX232).

Asynchronous receive and transmit

In asynchronous transmitting, teletype-style UARTs send a "start" bit, five to eight data bits, least-significant-bit first, an optional "parity" bit, and then one, one and a half, or two "stop" bits. The start bit is the opposite polarity of the data-line's idle state. The stop bit is the data-line's idle state, and provides a delay before the next character can start. (This is called asynchronous start-stop transmission). In mechanical teletypes, the "stop" bit was often stretched to two bit times to give the mechanism more time to finish printing a character. A stretched "stop" bit also helps resynchronization.

The parity bit can either make the number of "one" bits between any start/stop pair odd, or even, or it can be omitted. Odd parity is more reliable because it assures that there will always be at least one data transition, and this permits many UARTs to resynchronize.

In "synchronous" transmission, the clock data is recovered separately from the data stream and no start/stop bits are used. This improves the efficiency of transmission on suitable channels since more of the bits sent are usable data and not character framing. An asynchronous transmission sends no characters over the interconnection when the transmitting device has nothing to send -- only idle stop bits; but a synchronous interface must send "pad" characters to maintain synchronism between the receiver and transmitter. The usual filler is the ASCII "SYN" character. This may be done automatically by the transmitting device.

USART chips have both synchronous and asynchronous modes.

erial to Parallel Algorithm

A data communication pulse can only be in one of two states but there are many names for the two states.When on, circuit closed, low voltage, current flowing, or a logical zero, the pulse is said to be in the "space" condition.When off, circuit open, high voltage, current stopped, or a logical one, the pulse is said to be in the "mark" condition.A character code begins with the data communication circuit in the space condition. If the mark condition appears, a logical one is recorded otherwise a logical zero.

Figure 1 shows this format.

start|<- five to eight data bits ->| stop bit(s) 0 ---- - - - - - - - - - - Space (logic low)
| | | | | | | | | |
S | 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | S | S
| | | | | | | | | |
1 - - - - - - - - - - - -------- Mark (logic high)

Figure 1. Asynchronous Code Format. The right-most(Least Significant) data bit is always transmitted first. If parity is present, the parity bit comes after the data bits but before the stop bit(s).

The start bit is always a 0 (logic low), which is also called a space. The start bit signals the receiving DTE that a character code iscoming. The next five to eight bits, depending on the code set employed, represent the character. In the ASCII code setthe eighth data bit may be a parity bit. The next one or two bits are always in the mark (logic high, i.e., '1') condition and called the stop bit(s).They provide a "rest" interval for the receiving DTE so that it may prepare for the next character which may beafter the stop bit(s). The rest interval was required by mechanical Teletypes which used a motor driven camshaftto decode each character. At the end of each character the motor needed time to strike the character bail (print thecharacter) and reset the camshaft.

All operations of the UART hardware are controlled by a clock signal which runs at a multiple (say, 16) of the data rate - each data bit is as long as 16 clock pulses. The receiver tests the state of the incoming signal on each clock pulse, looking for the beginning of the start bit. If the apparent start bit lasts at least one-half of the bit time, it is valid and signals the start of a new character. If not, the spurious pulse is ignored. After waiting a further bit time, the state of the line is again sampled and the resulting level clocked into a shift register. After the required number of bit periods for the character length (5 to 8 bits, typically) have elapsed, the contents of the shift register is made available (in parallel fashion) to the receiving system. The UART will set a flag indicating new data is available, and may also generate a processor interrupt to request that the host processor transfers the received data. In some common types of UART, a small first-in, first-out (FIFO) buffer memory is inserted between the receiver shift register and the host system interface. This allows the host processor more time to handle an interrupt from the UART and prevents loss of received data at high rates.

Transmission operation is simpler since it is under the control of the transmitting system. As soon as data is deposited in the shift register, the UART hardware generates a start bit, shifts the required number of data bits out to the line,generates and appends the parity bit (if used), and appends the stop bits. Since transmission of a single character may take a long time relative to CPU speeds, the UART will maintain a flag showing busy status so that the host system does not deposit a new character for transmission until the previous one has been completed; this may also be done with an interrupt. Since full-duplex operation requires characters to be sent and received at the same time, practical UARTs use two different shift registers for transmitted characters and received characters.

Transmitting and receiving UARTs must be set for the same bit speed, character length, parity, and stop bits for proper operation. The receiving UART may detect some mismatched settings and set a "framing error" flag bit for the host system; in exceptional cases the receiving UART will produce an erratic stream of mutilated characters and transfer them to the host system.

Typical serial ports used with personal computers connected to modems use eight data bits, no parity, and one stop bit; for this configuration the number of ASCII character per seconds equals the bit rate divided by 10.

History

Some early telegraph schemes used variable-length pulses and rotating clockwork mechanisms to transmit alphabetic characters. The first UART-like devices (with fixed-length pulses) were rotating mechanical switches ("commutators"). These sent 5-bit Baudot codes for mechanical teletypewriters, and replaced morse code. Later, ASCII required a seven bit code. When IBM built computers in the early 1960s with 8-bit characters, it became customary to store the ASCII code in 8 bits.

Gordon Bell designed the UART for the PDP series of computers. Western Digital made the first single-chip UART WD1402A around 1971; this was an early example of a medium scale integrated circuit.

An example of an early 1980s UART was the National Semiconductor 8250. In the 1990s, newer UARTs were developed with on-chip buffers. This allowed higher transmission speed without data loss and without requiring such frequent attention from the computer. For example, the popular National Semiconductor 16550 has a 16 byte FIFO, and spawned many variants, including the 16C550, 16C650, 16C750, and 16C850.

Depending on the manufacturer, different terms are used to identify devices that perform the UART functions. Intel called their 8251 device a "Programmable Communication Interface". MOS Technology 6551 was known under the name "Asynchronous Communications Interface Adapter" (ACIA). The term "Serial Communications Interface" (SCI) was first used at Motorola around 1975 to refer to their start-stop asynchronous serial interface device, which others were calling a UART.

Some very low-cost home computers or embedded systems dispensed with a UART and used the CPU to sample the state of an input port or directly manipulate an output port for data transmission. While very CPU-intensive, since the CPU timing was critical, these schemes avoided the purchase of a costly UART chip. The technique was known as a bit-banging serial port.

tructure

A UART usually contains the following components:
* a clock generator, usually a multiple of the bit rate to allow sampling in the middle of a bit period.
* input and output shift registers
* transmit/receive control
* read/write control logic
* transmit/receive buffers (optional)
* parallel data bus buffer (optional)
* First-in, first-out (FIFO) buffer memory (optional)

Special Receiver Conditions

Overrun Error

An "overrun error" occurs when the UART receiver cannot process the character that just came in before the next one arrives. Various UART devices have differing amounts of buffer space to hold received characters. The CPU must service the UART in order to remove characters from the input buffer. If the CPU does not service the UART quickly enough and the buffer becomes full, an Overrun Error will occur.

Underrun Error

An "underrun error" occurs when the UART transmitter has completed sending a character and the transmit buffer is empty. In asynchronous modes this is treated as an indication that no data remains to be transmitted, rather than an error, since additional stop bits can be appended. This error indication is commonly found in USARTs, since an underrun is more serious in synchronous systems.

Framing Error

A "framing error" occurs when the designated "start" and "stop" bits are not valid. As the "start" bit is used to identify the beginning of an incoming character, it acts as a reference for the remaining bits. If the data line is not in the expected idle state when the "stop" bit is expected, a "Framing Error" will occur.

Parity Error

A "parity error" occurs when the number of "active" bits does not agree with the specified parity configuration of the UART, producing a "Parity Error". Because the "parity" bit is optional, this error will not occur if parity has been disabled.Parity error is set when the parity of an incoming data character does not match the expected value.

Break Condition

A "break condition" occurs when the receiver input is at the "space" level for longer than some duration of time, typically, for more than a character time. This is not necessarily an error, but appears to the receiver as a character of all zero bits with a framing error.

Some equipment will deliberately transmit the "break" level for longer than a character as an out-of-band signal. When signaling rates are mismatched, no meaningful characters can be sent, but a long "break" signal can be a useful way to get the attention of a mismatched receiver to do something (such as resetting itself). UNIX systems and UNIX-like systems such as Linux can use the long "break" level as a request to change the signaling rate.

ee also

* Asynchronous serial communication
* Baud
* bit rate
* Modem
* Morse code
* Serial communication
* Serial port
* USB

External links

* [http://www.camiresearch.com/Data_Com_Basics/RS232_standard.html#anchor1155404 A tutorial on the RS-232 standard, describing the definition of mark, space and their relationship with negative and positive voltages]
* [http://www.freebsd.org/doc/en_US.ISO8859-1/articles/serial-uart/ Freebsd Tutorials] (includes standard signal definitions, history of UART ICs, and pinout for commonly used DB25 connector)
* [http://www.societyofrobots.com/microcontroller_uart.shtml UART Tutorial for Robotics] (contains many practical examples)


Wikimedia Foundation. 2010.

Игры ⚽ Поможем сделать НИР

Look at other dictionaries:

  • Universal Asynchronous Receiver Transmitter — Universal Asynchronous Receiver Transmitter, kurz UART (Aussprache: ʊəˡat oder ˡju art), ist ein elektronisches Bauelement, das zur Realisierung von digitalen seriellen Schnittstellen dient. UART 8250 auf einer Leiterplatt …   Deutsch Wikipedia

  • Universal Asynchronous Receiver-Transmitter — Universal Asynchronous Receiver Transmitter,   UART …   Universal-Lexikon

  • Universal Asynchronous Receiver Transmitter — UART UART est un terme qui vient de l anglais, ce sont les initiales de : Universal Asynchronous Receiver Transmitter. L UART est donc un émetteur récepteur asynchrone universel. En langage courant, c est le composant utilisé pour faire la… …   Wikipédia en Français

  • Universal Asynchronous Receiver-Transmitter — UART son las siglas de Universal Asynchronous Receiver Transmitter ( en español, Transmisor Receptor Asíncrono Universal ). Éste controla los puertos y dispositivos serie. Se encuentra integrado en la placa base o en la tarjeta adaptadora del… …   Wikipedia Español

  • universal asynchronous receiver/transmitter — universalusis asinchroninis imtuvas siųstuvas statusas T sritis radioelektronika atitikmenys: angl. universal asynchronous receiver/transmitter vok. universeller asynchroner Empfänger/Sender, m rus. универсальный асинхронный приёмопередатчик, m… …   Radioelektronikos terminų žodynas

  • universal asynchronous receiver/transmitter —    Abbreviated UART; pronounced [you art]. An electronic module that combines the transmitting and receiving circuitry needed for asynchronous communications over a serial line.    See also asynchronous transmission …   Dictionary of networking

  • Universal Synchronous & Asynchronous Receiver Transmitter — UART UART est un terme qui vient de l anglais, ce sont les initiales de : Universal Asynchronous Receiver Transmitter. L UART est donc un émetteur récepteur asynchrone universel. En langage courant, c est le composant utilisé pour faire la… …   Wikipédia en Français

  • Universal Asynchronous Receiver Transmitter — circuit which converts information into a serial current and is used in serial ports, UART …   English contemporary dictionary

  • universal synchronous/asynchronous receiver/transmitter — universalusis sinchroninis asinchroninis imtuvas siųstuvas statusas T sritis radioelektronika atitikmenys: angl. universal synchronous/asynchronous receiver/transmitter vok. universeller synchroner/asynchroner Empfänger/Sender, m rus.… …   Radioelektronikos terminų žodynas

  • Asynchronous serial communication — describes an asynchronous, serial transmission protocol in which a start signal is sent prior to each byte, character or code word and a stop signal is sent after each code word. The start signal serves to prepare the receiving mechanism for the… …   Wikipedia

Share the article and excerpts

Direct link
Do a right-click on the link above
and select “Copy Link”