Timing closure

Timing closure

Timing closure is the process by which an FPGA or a VLSI design is modified to meet its timing requirements. Most of the modifications are handled by EDA tools based on directives given by a designer. The term is also used for the goal that is achieved, when such a design has reached the end of the flow and its timing requirements are satisfied.

The main steps of the design flow, which may be involved in this process, are logic synthesis, placement, clock-tree synthesis and routing. With present technologies all of them need to be timing-aware for a design to properly meet its timing requirements, but with technologies in the range of the micrometre only logic synthesis EDA tools had such a prerequisite.

Nevertheless, even if timing-awareness was extended to all these steps starting from well-established principles used for logic synthesis, the two phases, logic and physical, of the timing closure process are conventionally handled by different design teams and different EDA tools. [http://www.synopsys.com/products/logic/design_compiler.html Design Compiler] by Synopsys, [http://www.cadence.com/products/digital_ic/rtl_compiler/index.aspx Encounter RTL Compiler] by Cadence Design Systems and [http://www.magma-da.com/Pages/BlastCreate.html BlastCreate] by Magma Design Automation are examples of logic synthesis tools. [http://www.synopsys.com/products/iccompiler/iccompiler.html IC Compiler] by Synopsys, [http://www.cadence.com/products/digital_ic/soc_encounter/index.aspx SoC Encounter] by Cadence Design Systems and [http://www.magma-da.com/Pages/blastfusion.html Blast Fusion] by Magma Design Automation are examples of tools capable of timing-aware placement, clock tree synthesis and routing and therefore used for physical timing closure.

A timing requirement needs to be translated into a static timing constraint for an EDA tool to be able to handle it.

See also

*Design closure
*Electronic design automation
*Design flow (EDA)
*Integrated circuit design
*Physical timing closure
*Static timing analysis

References

*"PhysicalTimingClosure.Com". This article is derived from the document [http://physicaltimingclosure.com/docs_timingclosure.html Timing closure] by Alessandro Uber.

External links

* [http://www.synopsys.com/products/logic/design_compiler.html Design Compiler] by Synopsys
* [http://www.cadence.com/products/digital_ic/rtl_compiler/index.aspx Encounter RTL Compiler] by Cadence Design Systems
* [http://www.magma-da.com/Pages/BlastCreate.html BlastCreate] by Magma Design Automation
* [http://www.synopsys.com/products/iccompiler/iccompiler.html IC Compiler] by Synopsys
* [http://www.cadence.com/products/digital_ic/soc_encounter/index.aspx SoC Encounter] by Cadence Design Systems
* [http://www.magma-da.com/Pages/blastfusion.html Blast Fusion] by Magma Design Automation


Wikimedia Foundation. 2010.

Игры ⚽ Нужно решить контрольную?

Look at other dictionaries:

  • Physical timing closure — is the process by which an FPGA or a VLSI design with a physical representation is modified to meet its timing requirements. Most of the modifications are handled by EDA tools based on directives given by a designer. The term is also sometimes… …   Wikipedia

  • Design closure — is the process by which a VLSI design is modified from its initial description to meet a growing list of design constraints and objectives. Every step in the IC design (such as static timing analysis, placement, routing, and so on) is already… …   Wikipedia

  • Variable valve timing — Variable valve timing, or VVT, is a generic term for an automobile piston engine technology. VVT allows the lift or duration or timing (some or all) of the intake or exhaust valves (or both) to be changed while the engine is in operation. Two… …   Wikipedia

  • Bad Timing (Farscape episode) — Infobox Television episode Title =Bad Timing Series =Farscape Caption =John and Aeryn watch as an enemy craft approaches Season =4 Episode =22 Airdate =March 21, 2003 Production =| Writer =David Kemper Director =Andrew Prowse Guests =Raelee Hill… …   Wikipedia

  • Integrated circuit design — Layout view of a simple CMOS Operational Amplifier ( inputs are to the left and the compensation capacitor is to the right ). The metal layers are colored blue and green, the polysilicon is red and vias are crosses. Integrated circuit design, or… …   Wikipedia

  • Layoutsynthese — Unter Layoutsynthese versteht man das automatisierte Erstellen der geometrischen Anordnung der Zellen und ihrer Verbindungen beim Layoutentwurf eines integrierten Schaltkreises. Eingangsinformationen sind die im Schaltungsentwurf erstellte… …   Deutsch Wikipedia

  • Signal integrity — or SI is a measure of the quality of an electrical signal. In digital electronics, a stream of binary values is represented by a voltage (or current) waveform. Over short distances and at low bit rates, a simple conductor can transmit this with… …   Wikipedia

  • Layoutentwurf (Elektrotechnik) — Unter Layoutentwurf einer elektronischen Schaltung (Schaltkreis, Multi Chip Modul, Leiterplatte) versteht man das Erstellen und die Verifikation der geometrischen Anordnung der Zellen bzw. Bauelemente und ihrer Verbindungen. Die Verifikation… …   Deutsch Wikipedia

  • Compression ratio — For compression ratio in data compression, see data compression ratio. The compression ratio of an internal combustion engine or external combustion engine is a value that represents the ratio of the volume of its combustion chamber from its… …   Wikipedia

  • Puberty — refers to the process of physical changes by which a child s body becomes an adult body capable of reproduction. Puberty is initiated by hormone signals from the brain to the gonads (the ovaries and testes). In response, the gonads produce a… …   Wikipedia

Share the article and excerpts

Direct link
Do a right-click on the link above
and select “Copy Link”