Value change dump

Value change dump

Value change dump is an ASCII-based format for dumpfiles generated by EDA logic simulation tools. The standard, four-value VCD format was defined along with the Verilog hardware description language by the IEEE Standard 1364-1995 in 1995. An Extended VCD format defined six years later in the IEEE Standard 1364-2001 supports the logging of signal strength and directionality. The simple and yet compact structure of the VCD format has allowed its use to become ubiquitous and to spread into non-Verilog tools such as the VHDL simulator GHDL and various kernel tracers.

Structure

The VCD file comprises a header section with date, simulator, and timescale information; a variable definition section; and a value change section, in that order. The sections are not explicitly delineated within the file, but are identified by the inclusion of keywords belonging to each respective section.

Header section

The header section of the VCD file includes a timestamp, a simulator version number, and a timescale, which maps the time increments listed in the value change section to simulation time units.

Variable definition section

The variable definition section of the VCD file contains scope information as well as lists of signals instantiated in a given scope. Each variable is assigned an arbitrary, compact ASCII identifier for use in the value change section. The scope type definitions closely follow Verilog concepts, and include the types "module", "task", "function", and "fork".

Value change section

The value change section contains a series of time-ordered value changes for the signals in a given simulation model.

Syntax

VCD keywords are marked by a leading $. In general every keyword starts a section which is terminated by an $end keyword.

Example:

$timescale 1 ns $end

Another example of a file is: $timescale 1ps $end $scope module logic $end $var wire 8 # data $end $var wire 1 $ data_valid $end $var wire 1 % en $end $var wire 1 & rx_en $end $var wire 1 ' tx_en $end $var wire 1 ( empty $end $var wire 1 ) underrun $end $upscope $end $enddefinitions $end #0 b10000001 # 0$ 1% 0& 1' 0( 0) #2211 0' #2296 b0 # 1$ #2302 0$ #2303

The code above defines 7 signals by using $var:

$var type bitwidth id name

The id is used later on the wave description, the wave description starts after $enddefinitions $end, and is base on timestamps, starting with #. On each timestamp specified the list of signals that their value were modified. This is done by the pair:

new_value id

notice a white space is not separating between them.

All VCD tokens are delineated by whitespace.

ee also

*Waveform viewer

External links

* [http://ieeexplore.ieee.org/xpl/standardstoc.jsp?isnumber=20656&isYear=2001 IEEE Std 1364-2001] – The official standard for Verilog 2001 (not free).


Wikimedia Foundation. 2010.

Игры ⚽ Поможем сделать НИР

Look at other dictionaries:

  • Value Change Dump — (VCD) ist ein ASCII basiertes Format für sogenannte Dumpfiles, die zum Beispiel von einem EDA Tool generiert werden. Das Standard VCD Format mit vierwertiger Logik wurde 1995 im Zusammenhang mit der Verilog Hardwarebeschreibungssprache als IEEE… …   Deutsch Wikipedia

  • Verilog — In the semiconductor and electronic design industry, Verilog is a hardware description language (HDL) used to model electronic systems. Verilog HDL , not to be confused with VHDL, is most commonly used in the design, verification, and… …   Wikipedia

  • VCD — Video Compact Disc (Computing » General) ** Value Change Dump (Computing » General) ** Video Compact Disk (Academic & Science » Electronics) * Vapor Compression Distillation (Governmental » Transportation) * Value City Department Stores, Inc.… …   Abbreviations dictionary

  • Waveform viewer — A waveform viewer is a software tool for viewing the signal levels of either a digital or analog circuit design.John Bergeron, Writing Testbenches: Functional verification of HDL Models , Kluwer Academic Publishers, 2000] Waveform viewers comes… …   Wikipedia

  • VCD (disambiguation) — VCD is a three letter abbreviation with multiple meanings, as described below:* VCD Athletic, semi professional football team * Video CD * Voice command device * Value change dump (IEEE 1364 Verilog) * Vocal cord dysfunction * Visual… …   Wikipedia

  • VCD — steht für: Video CD, ein Standard für Videos auf dem Datenträger CD (Compact Disc). Verkehrsclub Deutschland, ein Verband für die ökologische und sozialverträgliche Mobilität aller Verkehrsteilnehmer. Vocal cord dysfunction, eine Fehlfunktion der …   Deutsch Wikipedia

  • Vcd — Die Abkürzung VCD steht für: Video CD, ein Standard für Videos auf dem Datenträger CD (Compact Disc). Verkehrsclub Deutschland e. V., ein Verband für die ökologische und sozialverträgliche Mobilität aller Verkehrsteilnehmer. Vocal cord… …   Deutsch Wikipedia

  • MIDI 1.0 — Note: Some of the information in this section diverges from the official MMA/AMEI MIDI specifications in terminology and in technical detail. Developers interested in maximizing interoperability are encouraged to work directly from the official… …   Wikipedia

  • environment — environmental, adj. environmentally, adv. /en vuy reuhn meuhnt, vuy euhrn /, n. 1. the aggregate of surrounding things, conditions, or influences; surroundings; milieu. 2. Ecol. the air, water, minerals, organisms, and all other external factors… …   Universalium

  • Polar bear — This article is about the animal. For other uses, see Polar bear (disambiguation) …   Wikipedia

Share the article and excerpts

Direct link
Do a right-click on the link above
and select “Copy Link”