PacoBlaze

PacoBlaze

PacoBlaze is a synthesizable and behavioral Verilog implementation of Xilinx's PicoBlaze soft microcontroller core, and is available through the BSD License. The design includes the three PicoBlaze configurations in a single configurable set of files.

The core was written and is maintained by [http://bleyer.org Pablo Bleyer] . He also wrote a PicoBlaze/PacoBlaze assembler written in the Java language, KCAsm.

The performance of the processor is similar to the original Picoblaze, but depending on the implementation —and since it is a behavioral design— the size of the core in a current FPGA is between 30%-50% larger. However, unused portions of the core may be removed to reduce the number of digital blocks used and also improve speed.

ee also

* PicoBlaze

External links

* [http://bleyer.org/pacoblaze PacoBlaze home page]


Wikimedia Foundation. 2010.

Игры ⚽ Нужен реферат?

Look at other dictionaries:

  • PacoBlaze — Le PacoBlaze est une implémentation en Verilog synthétisable du processeur softcore PicoBlaze de Xilinx, disponible sous la licence BSD. Le design comprend la configuration des 3 PicoBlaze dans un unique jeu de fichiers configurable. Le cœur a… …   Wikipédia en Français

  • PicoBlaze — is the designation of a series of three free soft processor cores from Xilinx for use in their FPGA and CPLD products. They are based on a RISC architecture of 8 bits and can reach speeds up to 100 MIPS on the Virtex 4 FPGA s family. The… …   Wikipedia

  • Processeur softcore — Un processeur softcore est un processeur (CPU) implémenté sur un système reprogrammable comme un FPGA. On parle alors de système sur puce programmable (System on Programmable Chip ou SoPC). Sommaire 1 Présentation 2 Liste de processeurs softcore… …   Wikipédia en Français

  • Soft-микропроцессор — Необходимо проверить качество перевода и привести статью в соответствие со стилистическими правилами Википедии. Вы можете помочь улучшить эту стать …   Википедия

  • PicoBlaze — PicoBlaze  общее название серии свободно распространяемых Soft процессорных ядер, созданных фиромой Xilinx для своих ПЛИС (FPGA и CPLD). В основе PicoBlaze лежит архитектура 8 битного RISC процессора; скорость работы на ПЛИС семейства Virtex …   Википедия

  • PicoBlaze — est la désignation d une série de trois processeurs softcore faits par Xilinx pour être utilisés sur leurs FPGA et CPLD. Ils sont basés sur une architecture RISC de 8 bits et peuvent atteindre une vitesse de 100 millions d instructions par… …   Wikipédia en Français

  • LEON — est un processeur 32 bit RISC open source, compatible SPARC V8 (1987) développé par l ingénieur suédois Jiri Gaisler pour l ESA. Il est téléchargeable sous licence LGPL (version 2) et GPL (version 3). Une version tolérante aux erreurs ( Fault… …   Wikipédia en Français

  • Microblaze — Le MicroBlaze est un cœur de processeur softcore 32 bits de la société Xilinx. Il est conçu pour être implémenté sur les FPGA de ce fabricant. Le code source de MicroBlaze est fermé[1]. Sommaire 1 Architecture 1.1 Architec …   Wikipédia en Français

  • NIOS — Le NIOS est un Processeur softcore propriétaire de Altera. Il est basé sur un cœur RISC 32 bits. Il est doté du bus Avalon Principaux outils de développement L implémentation du NIOS dans le FPGA se fait à partir de Quartus. Le développement du… …   Wikipédia en Français

  • OpenSPARC — est un projet de matériel informatique libre démarré en décembre 2005; basé sur la libre diffusion des spécifications de processeurs SPARC. La contribution initiale au projet a été la description du système logique du processeur UltraSPARC T1 en… …   Wikipédia en Français

Share the article and excerpts

Direct link
Do a right-click on the link above
and select “Copy Link”